Post Reply 
"#Cas ... # end" programs not accepted
05-04-2021, 07:28 AM
Post: #1
"#Cas ... # end" programs not accepted
Hello, in the beta emulator April 28, if I try to write a "#cas" program, the option is not accepted. For example, instead of appearing:

#cas
example():=
BEGIN
...
END;
#end

appears:

EXPORT example()
BEGIN
...
...
END;

If I try to force the system, adding "#cas" and "#end" by hand, the program is not accepted in "Cas Vars"

Yours sincerely, Roberto.
Find all posts by this user
Quote this message in a reply
Post Reply 


Messages In This Thread
"#Cas ... # end" programs not accepted - robmio - 05-04-2021 07:28 AM



User(s) browsing this thread: 1 Guest(s)